Follow
Tiansheng Zhang
Title
Cited by
Cited by
Year
An Investigation of Unified Memory Access Performance in CUDA
R Landaverde, T Zhang, AK Coskun, M Herbordt
IEEE High Performance Extreme Computing, 2014
1532014
Thermal management of manycore systems with silicon-photonic networks
T Zhang, JL Abellán, A Joshi, AK Coskun
Proceedings of the conference on Design, Automation & Test in Europe, 307, 2014
672014
Sharing and Placement of On-chip Laser Sources in Silicon-Photonic NoCs
C Chen, T Zhang, P Contu, J Klamkin, AK Coskun, A Joshi
IEEE/ACM International Symposium on Networks-on-Chip (NOCS), 2014
452014
Adaptive Tuning of Photonic Devices in a Photonic NoC Through Dynamic Workload Allocation
J Abellan, AK Coskun, A Gu, W Jin, A Joshi, AB Kahng, J Klamkin, ...
IEEE Transaction on Computer Aided Design of Integrated Circuits and Systems …, 2017
382017
MOCA: Memory Object Classification and Allocation in Heterogeneous Memory Systems
A Narayan, T Zhang, S Aga, S Narayanasamy, AK Coskun
IEEE International Parallel and Distributed Processing Symposium (IPDPS), 2018
252018
Leveraging Thermally-Aware Chiplet Organization in 2.5D Systems to Reclaim Dark Silicon
F Eris, A Joshi, AB Kahng, Y Ma, S Mojumder, T Zhang
Design, Automation, and Test in Europe (DATE), 2018
222018
Cross-layer floorplan optimization for silicon photonic NoCs in many-core systems
AK Coskun, A Gu, W Jin, A Joshi, AB Kahng, J Klamkin, Y Ma, J Recchio, ...
Design, Automation and Test in Europe (DATE), 1309-1314, 2016
132016
Dynamic Cache Pooling in 3D Multicore Processors
T Zhang, J Meng, AK Coskun
ACM Journal on Emerging Technologies in Computing Systems, Special Issue on …, 2015
102015
3D-MMC: A Modular 3D Multi-Core Architecture with Efficient Resource Pooling
T Zhang, A Cevrero, G Beanato, P Athanasopoulos, A Coskun, ...
Design, Automation & Test in Europe (DATE), 6, 2013
102013
A Small-Granularity Solution on Fault-Tolerant in 2D-mesh Network-on-Chip
J Wang, F Fu, TS Zhang, YP Chen
Solid-State and Integrated Circuit Technology (ICSICT), 2010 10th IEEE …, 2010
82010
Dynamic cache pooling for improving energy efficiency in 3D stacked multicore processors
J Meng, T Zhang, AK Coskun
Very Large Scale Integration (VLSI-SoC), 2013 IFIP/IEEE 21st International …, 2013
62013
Thermal Modeling and Management for 3D Stacked Systems
T Zhang, F Kaplan, AK Coskun
Physical Design for 3D Integrated Circuits, 229-244, 2016
22016
Reclaiming Dark Silicon Using Thermally-Aware Chiplet Organization in 2.5D Integrated Systems
A Coskun, F Eris, A Joshi, A Kahng, Y Ma, S Mojumder, T Zhang
Boston Area Architecture (BARC) Workshop, 2018
12018
An Automated Framework for Memory Allocation in Heterogeneous Memory Systems
A Narayan, T Zhang, S Aga, S Narayanasamy, AK Coskun
Boston Area Architecture (BARC) Workshop, 2018
2018
Thermal Management of Silicon Photonic NoCs in Many-core Systems
T Zhang, J Klamkin, A Joshi, AK Coskun
Photonic Interconnects for Computing Systems, 227-248, 2017
2017
The Critical Role of Temperature for Silicon-Photonic Network-on-Chip
T Zhang
Circuit Cellar Issue 320, 2017
2017
Resource and Thermal Management in 3D-Stacked Multi-/Many-core Systems
T Zhang
Boston University, 2017
2017
Resource Management Design in 3D-Stacked Multicore Systems for Improving Energy Efficiency
T Zhang, AK Coskun
Boston Area Architecture (BARC) Workshop, 2015
2015
229 Thermal Modeling and Management for 3D Stacked Systems
T Zhang, F Kaplan, AK Coskun
Physical Design for 3D Integrated Circuits, 229-244, 0
The system can't perform the operation now. Try again later.
Articles 1–19