Follow
Serge Ecoffey
Title
Cited by
Cited by
Year
In‐Memory Vector‐Matrix Multiplication in Monolithic Complementary Metal–Oxide–Semiconductor‐Memristor Integrated Circuits: Design Choices, Challenges, and Perspectives
A Amirsoleimani, F Alibart, V Yon, J Xu, MR Pazhouhandeh, S Ecoffey, ...
Advanced Intelligent Systems 2 (11), 2000115, 2020
1392020
Selective palladium electrochemical deposition onto AFM-scratched silicon surfaces
L Santinacci, T Djenizian, H Hildebrand, S Ecoffey, H Mokdad, ...
Electrochimica acta 48 (20-22), 3123-3130, 2003
562003
SETMOS: a Novel True Hybrid SET-CMOS Cell with High Current and Coulomb Blockade for Future Nano-scale Analog ICs
S Mahapatra, V Pott, S Ecoffey, C Wasshuber, K Banerjee, J Tringe, ...
IEDM, 2003
34*2003
Low-pressure chemical vapour deposition of nanograin polysilicon ultra-thin films
S Ecoffey, D Bouvet, AM Ionescu, P Fazan
Nanotechnology 13 (3), 290, 2002
342002
SiO2 shallow nanostructures ICP etching using ZEP electroresist
M Guilmain, A Jaouad, S Ecoffey, D Drouin
Microelectronic Engineering, 2011
282011
A damascene platform for controlled ultra-thin nanowire fabrication
M Guilmain, T Labbaye, F Dellenbach, C Nauenheim, D Drouin, S Ecoffey
Nanotechnology 24 (24), 245305, 2013
212013
Fully CMOS-compatible passive TiO2-based memristor crossbars for in-memory computing
A El Mesoudy, G Lamri, R Dawant, J Arias-Zapata, P Gliech, Y Beilliard, ...
arXiv e-prints, arXiv: 2106.11808, 2021
172021
Nano-wires for room temperature operated hybrid CMOS-NANO integrated circuits
S Ecoffey, V Pott, D Bouvet, M Mazza, S Mahapatra, A Schmid, ...
Solid-State Circuits Conference, 2005. Digest of Technical Papers. ISSCC …, 2005
172005
Investigation of resistive switching and transport mechanisms of Al2O3/TiO2− x memristors under cryogenic conditions (1.5 K)
Y Beilliard, F Paquette, F Brousseau, S Ecoffey, F Alibart, D Drouin
AIP Advances 10 (2), 2020
162020
Highly transparent low capacitance plasma enhanced atomic layer deposition Al2O3-HfO2 tunnel junction engineering
K El Hajjam, N Baboux, F Calmon, A Souifi, O Poncelet, LA Francis, ...
Journal of Vacuum Science & Technology A 32 (1), 2014
162014
A hybrid CMOS–SET co-fabrication platform using nano-grain polysilicon wires
S Ecoffey, V Pott, S Mahapatra, D Bouvet, P Fazan, AM Ionescu
Microelectronic engineering 78, 239-243, 2005
152005
Tunnel Junction Engineering for Optimized Metallic Single-Electron Transistor
KG El Hajjam, MA Bounouar, N Baboux, S Ecoffey, M Guilmain, E Puyoo, ...
IEEE Transactions on Electron Devices 62 (9), 2998-3003, 2015
142015
Band gap narrowing induced by oxygen vacancies in reactively sputtered TiO2 thin films
A El Mesoudy, D Machon, A Ruediger, A Jaouad, F Alibart, S Ecoffey, ...
Thin Solid Films 769, 139737, 2023
132023
Fabrication of Planar Back End of Line Compatible HfO Complementary Resistive Switches
M Labalette, S Jeannot, S Blonkowski, Y Beilliard, S Ecoffey, A Souifi, ...
IEEE Transactions on Nanotechnology 16 (5), 745-751, 2017
112017
Single Electron Transistor Analytical Model for Hybrid Circuit Design
MA Bounouar, F Calmon, A Beaumont, M Guilmain, W Xuan, S Ecoffey, ...
112011
Technology platform for the fabrication of titanium nanostructures
S Ecoffey, M Guilmain, JF Morissette, F Bourque, J Pont, BL Sang, ...
112011
A porous Ge/Si interface layer for defect-free III-V multi-junction solar cells on silicon
YA Bioud, MN Beattie, A Boucherif, M Jellit, R Stricher, S Ecoffey, ...
Physics, Simulation, and Photonic Engineering of Photovoltaic Devices VIII …, 2019
102019
Ultrahigh-Sensitive CMOS pH Sensor Developed in the BEOL of Standard 28 nm UTBB FDSOI
GT Ayele, S Monfray, S Ecoffey, F Boeuf, JP Cloarec, D Drouin, A Souifi
IEEE Journal of the Electron Devices Society 6, 1026-1032, 2018
102018
CODEX: Stochastic Encoding Method to Relax Resistive Crossbar Accelerator Design Requirements
T Liu, A Amirsoleimani, J Xu, F Alibart, Y Beilliard, S Ecoffey, D Drouin, ...
IEEE Transactions on Circuits and Systems II: Express Briefs 69 (8), 3356-3360, 2022
92022
Conductive filament evolution dynamics revealed by cryogenic (1.5 K) multilevel switching of CMOS-compatible Al2O3/TiO2 resistive memories
Y Beilliard, F Paquette, F Brousseau, S Ecoffey, F Alibart, D Drouin
Nanotechnology 31 (44), 445205, 2020
92020
The system can't perform the operation now. Try again later.
Articles 1–20